최근 수정 시각 : 2024-04-22 23:04:21

인텔 파운드리 서비스

인텔/제조 공정에서 넘어옴

파일:나무위키+유도.png  
은(는) 여기로 연결됩니다.
전함에 대한 내용은 IFS(기동전함 나데시코) 문서
번 문단을
부분을
, 에 대한 내용은 문서
번 문단을
번 문단을
부분을
부분을
, 에 대한 내용은 문서
번 문단을
번 문단을
부분을
부분을
, 에 대한 내용은 문서
번 문단을
번 문단을
부분을
부분을
, 에 대한 내용은 문서
번 문단을
번 문단을
부분을
부분을
, 에 대한 내용은 문서
번 문단을
번 문단을
부분을
부분을
, 에 대한 내용은 문서
번 문단을
번 문단을
부분을
부분을
, 에 대한 내용은 문서
번 문단을
번 문단을
부분을
부분을
, 에 대한 내용은 문서
번 문단을
번 문단을
부분을
부분을
, 에 대한 내용은 문서
번 문단을
번 문단을
부분을
부분을
참고하십시오.
<colbgcolor=#0068b5><colcolor=#fff> 인텔 파운드리 서비스
Intel Foundry Services
파일:인텔파운드리서비스2.jpg
사명 인텔 파운드리 서비스
(Intel Foundry Services)
국적
[[미국|]][[틀:국기|]][[틀:국기|]]
설립 2021년
산업 파운드리
모기업 인텔
대표자 스튜어트 팬 (인텔 SVP / 2023년~ )
매출 189억 1,000만 달러[1] (2023년)
영업이익 -69억 5,500만 달러[2] (2023년)
1. 개요2. 역사3. 생산 시설4. 제조 공정
4.1. 14 nm4.2. 10 nm / 10 nm SuperFin4.3. Intel 7 (구 10 nm Enhanced SuperFin4.4. Intel 4 (구 7 nm)4.5. Intel 34.6. Intel 20A4.7. Intel 18A4.8. Intel 14A4.9. Intel 10A
5. 파운드리 서비스
5.1. 개방형 파운드리
6. 공정명칭 관련7. 여담8. 참고 문서

[clearfix]

1. 개요

IFS는 인텔 파운드리 사업 부문이다.

2021년부터 본사업에서 벌어들인 현금흐름 대부분을 파운드리에 집중 투자하여 가장 빠른 2나노 양산을 준비하고 있으며, 2030년까지 업계 2위를 목표로 하고 있다.[3][4]

2. 역사

2012년에 “인텔 커스텀 파운드리 그룹”을 신설한 이래로 사업 부진으로 인해 2018년을 마지막으로 철수했다.

팻 겔싱어 체제가 확립된 이후인 2021년부터 IFS 신사업부를 꾸리고 3월에 사업 재진출을 선언했다. 재진출의 일환으로 애리조나에 200억 달러를 투자하여 두 곳의 팹을 증설한다는 계획을 발표했다. 팬데믹 이후 반도체 공급망 병목 사태와 더불어 중국의 영향력 강화로 인해, 미국은 이를 타개하고자 팹을 미국 내수에 증설하도록 반도체 지원 정책을 실시했다. 둔화되어가던 연산 반도체 사업 외에 다른 타개책이 필요했던 인텔과 미정부의 이해관계가 제대로 들어맞은 것이다.

2022년 1월, 오하이오에 200억 달러 투자를 발표했다.

2022년 2월, 54억 달러에 이스라엘 파운드리 업체인 타워세미컨덕터 인수를 발표했다. 업계 9위 사업자이며 2022년 기준 um 수준의 레거시 공정을 위주로 16억 8,000만 달러의 매출을 기록했다.

2022년 3월, 독일과 아일랜드 공장을 비롯하여 프랑스 디자인센터, 이탈리아 패키징공장, 폴란드 연구개발센터, 스페인 슈퍼컴퓨팅센터까지 유럽에 10년간 도합 800억 유로 투자를 발표했다.

2022년 8월, 캐나다의 브룩필드자산운용과 각각 150억 달러를 투자하여 애리조나 공장에 공동 출자한다고 발표했다. 운영은 인텔이 하며, 수익은 절반으로 쪼개 분배할 예정이다.

2023년 5월 기준, 인텔은 미국 칩스법의 최대 수혜 기업으로 떠오르고 있다. 칩스법에 의해 미국 정부는 보조금을 신청한 반도체 기업들의 민감한 기밀 정보들을 모두 수집할 수 있게 된다. # 현재 TSMC는 상황이 여의치 않아 칩스법 보조금 신청을 하게 될 전망이며 그렇게 된다면 TSMC의 기밀들은 미국 정부에 속하게 된다. 암시적으로 이런 결과는 인텔 파운드리로 정보 유출될 가능성이 매우 높아진 상태이며 만약 미국 정부가 얻은 TSMC의 기술을 인텔이 흡수하게 된다면 인텔은 빠른 경쟁력을 갖출 가능성이 높아진다.

2023년 6월 11일, 170억 유로 규모의 독일 공장 증설 과정에서 에너지 및 건축 비용 증가로 인해 당초 68억 유로 규모의 보조금을 100억 유로까지 인상해달라는 요청이 크리스티안 린트너 독일 재무부 장관에 의해 거절되었다. 다만 올라프 숄츠 총리는 EU 내 반도체 패권 강화를 위해 인텔에 대한 재정적 지원은 필연적이라는 입장이라, 상황을 계속 예의주시할 필요가 있다. 15일에 보조금 인상이 결국 승인이 임박했다는 블룸버그의 보도가 나왔다. 크리스티안 린트너 재무부 장관이 거절했지만, 로베르트 하베크 경제부장관의 드라이브로 인해서 99억 유로에 보조금이 합의되고 있다고 하며, 최종 승인만 남았고, 이번주 주말에 마지막 협상이 열리고, 6월 19일 월요일에 인텔의 겔싱어 CEO와 독일 대표단이 최종 서명할 것이라고 한다.

2023년 6월 19일, 인텔은 유럽에 80조원을 투자하기로 결정했다. # 팻 겔싱어 인텔 최고경영자(CEO)는 지난 3월에 향후 10년간 최대 800억 유로를 투자해 유럽 각국에 반도체 생산시설과 연구·개발(R&D) 역량을 확보할 계획이라고 밝힌 바 있다. 겔싱어 CEO는 로이터통신과의 인터뷰에서 "반도체 산업을 아시아에 빼앗겼다. 이를 되찾으려면 경쟁력을 갖춰야 한다"고 강조했다.

2023년 3분기 3억 1,100만 달러의 매출을 올렸는데, 이는 전년 동기 대비 4배 가까이 상승한 수치다. 피운드리 호실적에 힘입어 모기업 인텔의 주가는 9% 가까이 폭등했다.

2023년 12월 26일 이스라엘 정부가 인텔이 250억 달러 규모의 투자를 단행하며 건설중인 키르얏 갓 플랜트에 32억 달러 보조금을 지원하기로 발표했다. 인텔의 키르얏 갓 공장은 이스라엘 역대 최대 규모의 단일 투자다.

2024년 3월 20일 미국 정부는 칩스법(CHIPS ACT)의 일환으로 최대 85억 달러의 직접지원금과 110억 달러의 대출을 지원하기로 발표했다 # 인텔이 지금까지 미국 내 투자를 발표한 금액만 1,000억 달러에 육박한다.

2024년 4월 2일 8-K 보고서를 통해, 서드파티 외 자사 프로덕트와 알테라의 수주가 포함된 매출 및 영업손실이 최초로 공개되었다. # 매출액 기준 업계 2위 수준이었으나, 심각한 적자로 인해 당일 주가도 크게 출렁였다.

3. 생산 시설

파일:인텔 fab42.webp
Chandler Fab 42

4. 제조 공정

||<table align=center><tablebordercolor=#0071c5><rowbgcolor=#0071c5><rowcolor=white><-5> 인텔의 리소그래피 공정 ||
<rowcolor=white> 연도 공정 이름 노드 메탈 레이어 수 채택된 아키텍처
1972년 PMOS I 10 μm 1 4004
1974년 HMOS I 8 μm 1
1976년 HMOS II, HMOS III 6 μm 1 8080
1977년 CHMOS I 3 μm 1 8086, 8088, 80186
1979년 CHMOS II 2 μm 1
1982년 P646 (CHMOS III) 1.5 μm 2 80286, 80386
1987년 P648 1 μm 2 80486
1989년 P650 800 nm 3 80486
1991년 P652 600 nm 4 80486, P5
1993년 P852 500 nm 4 P5
1995년 P854 350 nm 4 P5, P6
1997년 P856 250 nm 5 P5, P6
1998년 P856.5 250 nm 5 P6
1999년 P858 180 nm 6 P6, 넷버스트
2001년 P860 130 nm 6 P6, 넷버스트
2003년 P1262 (CPU), P1263 (I/O, SoC) 90 nm 7 P6, 넷버스트
2005년 P1264 (CPU), P1265 (I/O, SoC) 65 nm 8 P6, 넷버스트, 코어
2007년 P1266 (CPU), P1266.8 (SoC) 45 nm 9 펜린, 네할렘
2009년 P1268 (CPU), P1269 (I/O, SoC) 32 nm 10 웨스트미어, 샌디브리지
2011년 P1270 (CPU), P1271 (I/O, SoC) 22 nm 11 아이비브리지, 하스웰
2014년 P1272 (CPU), P1273 (I/O, SoC) 14 nm 12 브로드웰, 스카이레이크, 사이프러스 코브
2018년 P1274 (CPU), P1275 (I/O, SoC) 10 nm
Intel 7
12, 13 팜 코브, 서니 코브, 윌로 코브, 골든 코브

4.1. 14 nm

2015년 중반에 도입되어 2021년 상반기까지 주력으로 쓰였다. 트랜지스터 밀도는 타사의 10-12nm에 준한다고 평가된다.

브로드웰/스카이레이크에 사용된 초기 14nm를 14, 카비레이크에 사용된 개선된 버전을 14+, 커피레이크에 사용된 성능을 더욱 올린 버전을 14++로 구분하기도 하나, 커피레이크 이후 해당 구분은 사용하지 않는 상태이다. 갈수록 최적화가 이루어져 2020년 출시된 쿠퍼레이크 프로세서에 사용된 버전의 경우 브로드웰에 사용된 초기 14nm 버전 대비 성능이 21% 향상되었다. #

4.2. 10 nm / 10 nm SuperFin

100.8 MTr/mm2에 달하는 과도하게 높은 밀도 목표(전 공정 대비 2.7배)와 코발트 배선 등의 신기술 적용, R&D 인력의 대규모 해고 등의 이유로 2019년 10세대 모바일용 CPU인 아이스 레이크에 이르러서야 상용화되었으며,[9] 2021년 상반기부터 본격적으로 생산량이 증가하여 저전력 모바일용 칩에 한하여 일부 노트북 모델에 한정되어 비교적 소량 공급되던 상황을 벗어나 고성능 노트북(H 라인업) 및 서버용 프로세서(제온 스케일러블)까지의 다양한 제품군에 도입이 이루어졌다.

(로직 트랜지스터 한정, 초기 10 nm 공정 기준) TSMC의 N7보다 약간 높은 밀도를 가지고 있다. 또한 10 nm 공정부터 차세대 포베로스 패키징이 적용되어서 I/O 등의 일부 부분은 별도의 공정을 사용해서 제조가 가능한 구조로 되어있다.[10]

4.3. Intel 7 (구 10 nm Enhanced SuperFin

미국 서부시간 2021년 7월 26일 오후 2시에 10 nm 최적화 공정인 10nm Enhanced SuperFin을 Intel 7으로 공정명을 변경했다. 기존 14 nm 개선 공정에 비해 클럭 포텐셜이 낮아 노트북, 서버용 제품군에만 사용되던 인텔 10 nm 공정(P1274)의 이전 버전과 달리 본격적으로 데스크탑에 도입되었다. 인텔 발표에 따르면 10 nm SuperFin 대비 전성비가 10% 향상되었다. 다만 스피드게인 확보를 위한 CPP 완화 등의 조치 등으로 인해 밀도는 100MTr/mm2를 넘어가던 초기에 비해선 많이 줄어들었다.

4.4. Intel 4 (구 7 nm)

Intel 7과 마찬가지로 이름이 변경되었다. 인텔 발표에 따르면 Intel 7 대비 전성비가 20% 향상될 예정이다.

2023년부터 아일랜드 공장에서 EUV 생산. 2023년 출시 예정인 메테오 레이크를 통해 처음 출하되었는데,[11] 메테오레이크는 기대치보다는 못미치는 전성비 향상과 랩터레이크 대비 고전력 구간 성능 퇴보로 인해 좋은 평을 받지 못하고 있다.[12]

인텔 4 기반 프로세서부터는 모놀리식 방식을 완전히 버리고 부분별로 인텔이 자체 제조하거나 TSMC 등에 외주를 맡겨 포베로스 패키징을 이용해 합쳐서 제조할 예정이다.

4.5. Intel 3

로드맵 상에서 2023년 하반기에 선보일 공정이다. 2024년 출시 예정인 그래닛 래피즈와 시에라 포레스트에 쓰일 예정이다. TSMC와 삼성전자의 3 nm 공정에 대응할 것으로 보인다. 인텔 발표에 따르면 Intel 4 대비 전성비가 18% 향상될 예정이다.

4.6. Intel 20A

2024년 하반기에 선보일 공정이다. A는 옹스트롬의 의미로 10-10 m(= 0.1 nm)을 의미한다. 2024년 출시 예정인 애로우 레이크에 쓰일 예정이다. TSMC, 삼성전자 라피더스의 2nm 공정에 해당할 것으로 보인다. 인텔 발표에 따르면 Intel 3 대비 전성비가 15% 향상될 예정이다.

인텔은 이 단계에서 기존의 FinFET에서 RibbonFET이라 부르는 GAAFET을 도입할 예정이다.

4.7. Intel 18A

2024년 하반기 양산에 돌입할 공정으로 1.8nm에 해당되는 공정이다. 로드맵대로 진행된다면 클리어워터 포레스트와 팬서 레이크가 이 공정대로 만들어지며, 이 시점에 인텔은 미세 공정에서 다시 선두를 잡게된다. 인텔 발표에 따르면 Intel 20A 대비 전성비가 10% 향상될 예정이다.

양산에 성공한다면, 파운드리 재도전 5년 차도 안 되어 TSMC와 삼성전자보다 앞선 공정 기술을 선보이는 셈이다.

2023년 4월, Arm이 모바일을 비롯한 SoC 전반에 걸쳐 18A 공정 파트너사로 참여했다.

7월에는 에릭슨 5G 통신장비와 미국 국방부의 방산 제품에 쓰일 반도체를 수주했다고 발표했다.

2024년 2월 마이크로소프트의 커스텀 인공지능 칩을 18A로 양산할 것이라는 소식이 알려졌다. # 수주 규모는 50억 달러 수준으로 추정된다. 미국 정부에서 대놓고 밀어주고 있던 와중에, 우군인 마이크로소프트까지 고객사로 합류하게 되면서 실제 양산에만 제대로 성공한다면 꽃길이 그려지는 상황이다. 2024년 1분기 기준 수주 잔량이 150억 달러를 돌파했으며, 양산 시기는 2025년에서 2024년 연말로 앞당겨졌다.

4.8. Intel 14A

2023년 12월 21일 0.55NA 집광력이 탑재된 ASML의 EXE:5000이 자사 오리건 공장으로 납품되기 시작했다고 밝히면서 High-NA EUV의 본격적인 출하가 시작되었다.[13]

2024년 IFS Direct Connect행사에서 발표한 내용에 따르면 2026년 양산 예정이며, 인텔 공정중 최초로 ASML의 High-NA EUV를 도입한다고 한다.[14]

인텔의 발표에 따르면 18A공정대비 전성비가 15%, 좀 더 진보한 공정인 14A-E는 20% 개선될 예정이다

4.9. Intel 10A

2024년 IFs Direct Connect행사에서 발표한 내용에 따르면 2027년 양산 예정이며,CEO 펫 갤싱어의 언급에 따르면 Intel 14A보다 최소 두자릿수 이상의 성능, 파워효율이 증가할 것이라고 한다. https://www.tomshardware.com/pc-components/cpus/intel-puts-1nm-process-10a-on-the-roadmap-for-2027-aiming-for-fully-ai-automated-factories-with-cobots

5. 파운드리 서비스

5.1. 개방형 파운드리

2023년 5월 기준, 인텔 디렉터인 마크 가드너는 인텔의 개방형 파운드리를 공표했다. # 그는 생태계 파트너십 구축과 활용이 필요한 상황임을 언급했다. 칩렛 간 통신, 패키지 간 통신에 대한 표준이 필요하며, 고객사에서 서로 다른 공정에 대해 모두 설계할 수 있도록 활용이 쉬워야 한다고 말했다. 이에 따라 인텔이 제공하는 파운드리 패키징 서비스를 개방형 파운드리라 명명했다. 제품 스펙에서 최종 설계뿐만 아니라 웨이퍼 제조와 패키징, 조립, 제조 선별 테스트까지 제공 가능한 옵션과 다른 파운드리 서비스에서 제공받는 서비스를 결합할 수 있다고 설명했다. 가령 고객사가 인텔 파운드리 대신, 다른 기업의 파운드리에 웨이퍼를 주문 및 공급받고 인텔의 패키징 서비스만 받거나, 조립과 테스트 공정만 사용할 수도 있는 등 유연한 파운드리 서비스 제공을 의미한다.
심지어 IFS direct connect 2024에서 발표하기로 현 인텔 CEO 팻 갤싱어는 AMD나 Nvidia같은 경쟁사들 역시 IFS를 이용하길 바란다고 언급했다. 또한 인텔과 인텔 파운드리 서비스간에 명확한 구분을 위해 회사 조직을 프로덕트와 파운드리로 나누며, 고객 정보를 철저하게 분리하여 관리할 것이라고 밝혔다. 인공지능의 중요성이 높아지고, 미국 정부에서 자국의 반도체 제조업을 밀어주는 상황에서 사실상 파운드리 올인을 선언한 것과 다름없는 상황이다.

6. 공정명칭 관련

2021년경 인텔은 공정 숫자명칭을 변경하는데, 이로 인해 10nm 후기형 공정이 7nm로, 7nm는 4nm로 변경되었다. 이 행보에 대해 마치 실제 세대보다 한 세대 앞서 보이는 듯한 기만이라고 주장하는 경우를 자주 볼 수 있는데, 결론부터 말하자면 이는 사실이 아니며, 오히려 현행 명칭이 상대적으로 정확하다 볼 수 있다.

과거 인텔은 타 팹들에 비해 nm 숫자를 보다 보수적으로 잡았었는데, 이것이 여러 세대를 거쳐 누적된 결과 TSMC/ 삼성 파운드리 대비 14nm에서는 반세대, 10nm에 와서는 거의 1세대에 준하는 밀도차를 보이게 되었다. 이런 점을 자기 자신들도 잘 알고 있었던 만큼 자신들의 14nm가 '진짜' 14nm라고 마케팅 하는 등의 마케팅을 펼친 사례도 있다. 2020년대에 들어서며 인텔의 상황이 좋지 않게 되자 이러한 작명상의 보수성이 오히려 이미지에 독이 된다고 판단한 인텔은 밀도에 따른 숫자 명칭을 타사들과 비슷한 수준으로 잡게 되는데, 이 과정에서 10nm와 7nm의 명칭변경이 이루어진 것이다.

밀도를 기준으로 평가한다면 현행명칭 기준으로:
<colbgcolor=#000><colcolor=#fff> 7nm Intel 7 ≒ TSMC N7, 삼성 7LPP
4nm Intel 4 ≤ 삼성 SF4E ≤ TSMC N4
정도의 수준이라고 볼 수 있다.

이 현상이 나타난 원인을 이해하려면 그 배경을 알아야 할 필요가 있는데, 원래 28nm 이전의 PlanarFET 공정이 최선단이던 시절에는 저 nm 숫자가 실제로 반도체 회로의 선폭을 가리키는 말이었다. 하지만 FinFET의 시대가 오면서 더이상 회로의 선폭은 성능을 나타냄에 있어 중요성을 크게 잃었다. 그럼에도 기존의 nm수로 공정을 부르던 관행은 유지되며 파운드리사들은 공정의 명칭을 'PlanarFET이라면 이정도 선폭인 공정과 비슷하다' 라는 의미로 붙이기 시작했다. PlanarFET 시절에는 보통 기존대비 트렌지스터 밀도가 2배가 되면 다음 세대의 공정으로 분류했다.[15][16] 과거 인텔이 공정을 주도하던 14nm 까지의 시절엔 FinFET을 쓰더라도 밀도가 2배가 되었을 때 다음 공정의 이름을 부여했다.[17] 하지만 인텔이 14nm의 늪에서 허우적대는 사이 TSMC와 삼성이 치고 올라오며 밀도와 공정수의 관행을 깨고 1.8배나 1.7배 등 2배가 미처 달성되지 못한 공정에 더 낮은 nm수를 붙여주며 소위 뻥튀기가 발생했다. 이 결과, N7이나 7LPP가 인텔의 10nm Enhanced SuperFin[18]에 밀도가 따라잡히는 일이 발생한 것이다. 그렇기에 결과적으로 볼때 타 파운드리사에 비해 nm수 명칭 대비 과한 밀도를 가지고 있던 인텔은 이 공정의 명칭만을 바꾸어 자신들의 공정에 대한 인식을 바로잡기를 원했고, 인텔 역시 7nm 이후로는 2배의 밀도 상승이 있어야지만 다음 세대 공정으로 분류하는 관행을 주요 파운드리사 중에서 마지막으로 깨고 TSMC와 삼성의 기준에 맞춰 따라가기로 결정했다고 볼 수 있다.[19][20]

7. 여담

인텔이 회계방식을 바꾸면서 파운드리 수주잔고에 내부물량을 포함하기로 했는데, 인텔 스스로의 내부물량이 무려 200억달러에 달하는 막대한 양인지라 회계방식이 변경되는 즉시 삼성 파운드리를 제치고 업계 2위로 등극하는 것이 당연시되고 있으며, 인텔 내부에서도 2024년에 내부 물량 기준 세계 2위, 2030년 외부 물량 기준 세계 2위를 외치고 있다.

인텔이 굳이 회계 방식을 바꾸는 이유는 기존에 삼성이 파운드리 수주 물량에 자사 물량을 포함하면서 업계 2위라는 인식을 만들어내고, 이를 통해 TSMC 아니면 삼성이라는 전략으로 상당히 재미를 본 것을 인텔도 똑같이 한다는 것으로 추정된다.

한편, 인텔의 부상은 삼성에게 큰 위협이 되고 있다. 재미있는 점은 인텔이 TSMC를 훌륭한 협력자이자 경쟁자라고 칭한 반면 삼성 파운드리는 경쟁자로 언급조차 하지 않았다는 점이다.[21]

8. 참고 문서


[1] 자사 제품 외 외부 서드파티 고객사 매출의 경우 9억 5,200만 달러를 벌어들였다. [2] 자사 제품 외 외부 서드파티 고객사 매출의 경우 4억 8,200만 달러 손실을 기록했다. [3] 파운드리 연구개발 및 자본적지출을 위해 2021년에는 자사주매입과 배당이 전년도 대비 약 60% 감소했으며, 심지어 2022년에는 자사주 매입을 중단했다. 말 그대로 직접적인 주주환원을 포기하며 아낀 현금흐름을 연구개발과 자본적지출에 전부 쏟아부으며 파운드리에 사활을 걸고 있는 셈이다. [4] 이러한 전략에 대한 의구심과 더불어 본사업이 크게 부진하자, 2021년 2분기부터 2022년 3분기까지 닷컴버블 이후 최악의 주가 하락을 맛보았다. 자사주매입을 중단하고 배당금 지급을 줄였기 때문에 주식의 유동성이 매우 높아진 점도 당시에는 패착으로 작용했다. 하지만 2023년부터 실적이 차츰 개선되고, ASML의 EUV 장비를 경쟁사보다 빠르게 납품받아 ARM 등의 고객사를 확보하여 기존의 20A와 18A 양산에 긍정적인 신호탄이 되자, 나스닥에서 한 해동안 가장 많이 상승한 종목 중 하나가 되며 분위기 반전에 성공했다. [5] 2022년 1월, 200억 달러 투자를 발표했다. [6] 2022년, 170억 유로 투자를 발표했다. [7] 2022년, 120억 유로 투자를 발표했다. [8] 2021년 3월, Fab 52와 62에 200억 달러 투자를 발표했으며, 2022년 8월에 300억 달러 규모로 확장했다. 브룩필드자산운용과 공동 출자하여 수익은 반반으로 나눌 예정이다. [9] 2018년에 나온 캐논 레이크가 있긴 하나 이건 제대로 된 물건이라고는 보기 힘들다. [10] 첫 도입은 10nm이긴 하지만 실험적인 도입이라 전 라인업 도입은 안되고 향후 7nm(Intel 4)부터 본격적으로 도입될 예정이다. [11] 메테오 레이크의 컴퓨트 타일이 2021년 2분기에 Tape-in에 들어갔고, 같은 해 4분기에 Tape-out에 들어갔다. [12] 이 문제가 가벼운 게 아닌 이유는 14nm, 10nm 시절에도 초기에 이 현상으로 골치를 썩었는데, 이번 세대에서도 이 문제가 그대로 되풀이되고 있다는 것이기 때문. 심지어 Intel 4 공정은 고성능 라이브러리만 존재하는 공정이다. [13] 상술했듯 가장 큰 액수의 투자를 해둔 덕에 TSMC, 삼성전자, SK하이닉스까지 계약을 체결해둔 세 곳의 업체보다 우선으로 공급받았다. [14] 2023년부터 6대를 도입하며 한화로 무려 3조 6,000억 원 규모이다. [15] 이를 풀노드(Full Node) 라고 부른다. 반대로 이에 미치지 못하면 하프노드(Half Node) 라고 지칭한다. [16] 밀도는 동일 트렌지스터 수당 면적의 역수로 볼 수도 있으므로 선폭이 0.7배가 되면 0.7 × 0.7 ≒ 0.5이기에 다음 공정은 전 공정의 0.7배의 nm 수를 가진다. [17] 위 문단에서 인텔이 '보수적으로 nm 수를 잡았다'라는 언급은 이것을 의미한다. [18] 현행 Intel 7 [19] 다르게 말하면 인텔은 14nm 이후로 파운드리에서의 주도권을 TSMC에게 빼앗긴 것으로도 해석이 가능하다. 인텔이 주도하던 과거의 시기에는 다른 파운드리사들이 인텔의 공정 명칭과 밀도를 따라야 했지만, 이제는 반대로 인텔이 따라야하는 시점이 되었기 때문이다. [20] 또한 삼성은 여기서 더더욱 심하게 nm수를 뻥튀기하는 상황으로, 2배가 아닌 1.3 ~ 1.5배 정도마다 새 공정명칭을 정하는 바람에 삼성의 SF5E는 TSMC의 N5와 비교해서 사실상 반 세대급의 밀도차이가 발생하게 되었다. 3nm 이후로는 정확한 수치가 알려지지 않은 상태이나, 정도만 덜해졌을 뿐 여전히 밀릴 것이라는 예측이 지배적이다. [21] 물론 양산이 2년이나 늦었고 현재는 완전히 안정권에 들어선 삼성의 그것과는 달리 고성능 라이브러리 하나만 가지고도 사경을 헤메고 있는 것이 현재의 Intel 4이므로 제 3자의 시각에서 보면 이는 그저 우스운 꼴. 다만 공격적인 R&D 전략 및 미국의 국가적인 푸쉬에 힘입어 인텔이 삼성 파운드리에게 위협이 되고 있는 것 자체는 엄연한 현실이다.

분류